Research Topics of Power Management Circuits
Research Area/ Research Interest: Power Management Circuits
Research Paper Topics for: Masters and PhD Thesis and publication
- State-of-the-art power management circuits for piezoelectric energy harvesters
- Thermal and power management of integrated circuits
- High energy storage efficiency triboelectric nanogenerators with unidirectional switches and passive power management circuits
- Comparison of DC-DC-converter architectures of power management circuits for thermoelectric generators
- Power management circuits for low-power RF energy harvesters
- Single-and multi-source battery-less power management circuits for piezoelectric energy harvesting systems
- Self-starting power management circuits for piezoelectric and electret-based electrostatic mechanical energy harvesters
- Power management of digital circuits in deep sub-micron CMOS technologies
- Super capacitors Improve the Performance of Linear Power-Management Circuits: Unique new design options when capacitance jump from micro-farads to farads with …
- Temperature-compensated CMOS ring oscillator for power-management circuits
- Switched-mode-control based hybrid LDO for fine-grain power management of digital load circuits
- Integrated capacitive power-management circuit for thermal harvesters with output power 10 to 1000µW
- Boosting voltage without electrochemical degradation using energy-harvesting circuits and power management system-coupled multiple microbial fuel cells
- Integrated power harvesting system including a MEMS generator and a power management circuit
- Wireless front-end with power management for an implantable cardiac microstimulator
- Dynamic power management: design techniques and CAD tools
- Power management circuits for self-powered systems based on micro-scale solar energy harvesting
- Prospects of tunnel FETs in the design of power management circuits for weak energy harvesting DC sources
- Power Management Integrated Circuits
- Power management through DVFS and dynamic body biasing in FD-SOI circuits
- … nodes with illustration in a miniaturized GNSS logger for position tracking: Part II—Data communication, energy harvesting, power management, and digital circuits
- Architectures and circuits for low-voltage energy conversion and applications in renewable energy and power management
- The global market for power supply and power management integrated circuits
- Power failure in management circuits
- Efficient power management circuit: From thermal energy harvesting to above-IC microbattery energy storage
- Capacitive Power Management Circuit for Micropower Thermoelectric Generators With a 1.4 A Controller
- Twin neurons for efficient real-world data distribution in networks of neural cliques: Applications in power management in electronic circuits
- Power Management Circuits for Miniature Sensor Systems.
- A 32 nm embedded, fully-digital, phase-locked low dropout regulator for fine grained power management in digital circuits
- Energy efficient control for power management circuits operating from nano-watts to watts
- A highly integrated power management IC for advanced mobile applications
- A 10 nW–1 µW power management IC with integrated battery management and self-startup for energy harvesting applications
- A micro inertial energy harvesting platform with self-supplied power management circuit for autonomous wireless sensor nodes
- Pre-energized auxiliary circuits for very fast transient loads: Coping with load-informed power management for computer loads
- Adaptive techniques for leakage power management in L2 cache peripheral circuits
- Energy-efficient start-up power management for batteryless biomedical implant devices
- Transient latch-up of switching arrays in power management circuits
- A wirelessly powered CMOS electrochemical sensing interface with power-aware RF-DC power management
- Power management circuits for a 15-μA, implantable pressure sensor
- Applications of mems-mosfet hybrid switches to power management circuits for energy harvesting systems
- A Multi(bio)sensor Acquisition System With Integrated Processor, Power Management, LED Drivers, and Simultaneously Synchronized ECG, BIO-Z, GSR, and …
- Guarded evaluation: Pushing power management to logic synthesis/design
- A power management scheme controlling 20 power domains for a single-chip mobile processor
- Dynamic power management using adaptive learning tree
- Power Management Circuits for Self-Powered Systems Based on Solar Energy Harvesting
- Low power VLSI circuits design strategies and methodologies: A literature review
- Multidimensional adaptive power management for low-power operation of wireless devices
- Power management analysis of inductively-powered implants with 1X/2X reconfigurable rectifier
- A fully integrated on-chip DC-DC conversion and power management system
- Bridging fault test method with adaptive power management awareness
- A 4.0 GHz 291Mb voltage-scalable SRAM design in 32nm high-κ metal-gate CMOS with integrated power management
- Power management with energy harvesting from a headphone jack
- Advanced power electronic conversion and control system for universal and flexible power management
- A self-supplied inertial piezoelectric energy harvester with power-management IC
- A 65-nm mobile multimedia applications processor with an adaptive power management scheme to compensate for variations
- A 1 V multi-threshold voltage CMOS DSP with an efficient power management technique for mobile phone application
- An autonomous energy harvesting power management unit with digital regulation for IoT applications
- 3 A 6.45 μW self-powered IoT SoC with integrated energy-harvesting power management and ULP asymmetric radios
- An energy-adaptive MPPT power management unit for micro-power vibration energy harvesting
- A power management unit with 40 dB switching-noise-suppression for a thermal harvesting array
- Power management integrated circuits design, functionality analysis and applications
- Integrated power management for battery-indifferent systems with ultra-wide adaptation down to nW
- Trigger circuits in battery-less multi-source power management electronics for piezoelectric energy harvesters
- Test Pattern Generation for Circuits Using Power Management Techniques
- Single-switch inductorless power management circuit for electrostatic vibration energy harvesters
- Comparing system level power management policies
- Heat and Power Management for High Performance Integrated Circuits
- Advanced NEMS-based power management for 3D stacked integrated circuits
- A survey of design techniques for system-level dynamic power management
- Future direction of power management in mobile devices
- Ultra-low voltage power management and computation methodology for energy harvesting applications
- A low quiescent current asynchronous digital-LDO with PLL-modulated fast-DVS power management in 40 nm SoC for MIPS performance improvement
- Low power design techniques and implementation strategies adopted in VLSI circuits
- A configurable enhanced TTRAM macro for system-level power management unified memory
- Energy processing circuits for low-power applications
- Power management in wireless power-sipping devices: A survey
- A DVS embedded power management for high efficiency integrated SoC in UWB system
- Dynamic voltage and frequency scaling (DVFS) scheme for multi-domains power management
- Dynamic power management with power network-on-chip
- Policy optimization for dynamic power management
- System power management support in the IBM POWER6 microprocessor
- Power optimization using dynamic power management
- Power Management for Energy Harvesting in IoT–A Brief Review of Requirements and Innovations
- An ultra-low-power power management IC for wireless sensor nodes
- A 71% efficient energy harvesting and power management unit for sub-μW power biomedical applications
- Power management system for online low power RF energy harvesting optimization
- Design of RF energy harvesting platforms for power management unit with start-up circuits
- The global market for power supply and power management integrated circuits
- Synthesis of Sequential Circuits with Dynamic Power management
- Power management
- Micropower energy harvesting
- Analog encoded neural network for power management in MPSoC
- A power management architecture for fast per-core DVFS in heterogeneous MPSoCs
- Power management unit for multi-source energy harvesting in wearable electronics
- Dynamic power management in wireless sensor networks
- System-level power management using online learning
- An all-digital power management unit with 90% power efficiency and ns-order voltage transition time for DVS operation in low power sensing SoC applications
- Electret-based aeroelastic harvester and its self-starting battery-free power management circuit
- 7 A power-management ASIC with Q-modulation capability for efficient inductive power transmission
- Learning-based power management for multicore processors via idle period manipulation
- Testability Analysis of Circuits using Data-Dependent Power Management
- An all-digital phase-locked loop for digital power management integrated chips
- Next-Generation ADCs, High-Performance Power Management, and Technology Considerations for Advanced Integrated Circuits: Advances in Analog Circuit …
- Design of a power management circuit for an opto-electro stimulator
- Nano-watt power management and vibration sensing on a dust-size batteryless sensor node for ambient intelligence applications
- Power management technique for 1-V LSIs using embedded processor
- A fully self-contained logarithmic closed-loop deep brain stimulation SoC with wireless telemetry and wireless power management
- Techniques for power management at the logic level
- A RISC-V processor SoC with integrated power management at submicrosecond timescales in 28 nm FD-SOI
- An efficient thermal energy harvesting and power management for μWatt wearable BioChips
- An 18 nA, 87% efficient solar, vibration and RF energy-harvesting power management system with a single shared inductor
- Telemetry for implantable medical devices: Part 2-power telemetry
- Comparing DC-DC converters for power management in hybrid electric vehicles
- The emergence & impact of DRAM-fab reuse in analog and power-management integrated circuits
- A sub-100nA power management system for wireless structure health monitoring applications
- Switched-capacitor power management integrated circuit for autonomous Internet of Things node
- Battery-aware power management based on Markovian decision processes
- Power Management in Circuits Design
- Low-power far-field wireless powering for wireless sensors
- Efficient solar power management system for self-powered IoT node
- A unified approach for fault tolerance and dynamic power management in fixed-priority real-time embedded systems
- A batteryless 19μW MICS/ISM-band energy harvesting body area sensor node SoC
- A 6.45 Self-Powered SoC With Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios for Portable Biomedical Systems
- A power management strategy for minimization of energy storage reservoirs in wireless systems with energy harvesting
- A charge pump based power management unit with 66%-efficiency in 65 nm CMOS
- DC–DC converter-aware power management for low-power embedded systems
- Power management system for ultra-low power energy harvesting applications
- Dynamic power management of a system with a two-priority request queue using probabilistic-model checking
- When hardware is free, power is expensive! Is integrated power management the solution?
- Instruction-cycle-based dynamic voltage scaling power management for low-power digital signal processor with 53% power savings
- 5 A 60%-efficiency 20nW-500µW tri-output fully integrated power management unit with environmental adaptation and load-proportional biasing for IoT systems
- Simulation method for optimizing the performance of an integrated triboelectric nanogenerator energy harvesting system
- Infrastructure circuits for lifetime improvement of ultra-low power IoT devices
- A 4.0 GHz 291 Mb voltage-scalable SRAM design in a 32 nm high-k+ metal-gate CMOS technology with integrated power management
- An inductive voltage-/current-mode integrated power management with seamless mode transition and energy recycling
- A 1.2 µW SIMO energy harvesting and power management unit with constant peak inductor current control achieving 83–92% efficiency across wide input and output …
- Power processing circuits for piezoelectric vibration-based energy harvesters
- Circuit Implementation Using Emerging Technologies: Enabling energy-efficient electronics for biomedical and power management applications
- A power management for self-timed CMOS circuits (FLAGMAN) and investigations on the impact of technology scaling
- Dynamic power management for neuromorphic many-core systems
- Multimode digital SMPS controller IC for low-power management
- Power-management specification in SystemC
- Designing low-power circuits: practical recipes
- Register binding-based RTL power management for control-flow intensive designs
- … and GNSS All-In-One System-On-Chip Integrating RF Transceiver, 23-dBm CMOS Power Amplifier, Power Management Unit, and Clock Management System for Low …
- Energy harvesting from hybrid indoor ambient light and thermal energy sources for enhanced performance of wireless sensor nodes
- 3 Adaptive reconfigurable voltage/current-mode power management with self-regulation for extended-range inductive power transmission
- Power management and dynamic voltage scaling: Myths and facts
- ChipOS: open power-management platform to overcome the power crisis in future LSIs
- An integrated wireless power management and data telemetry IC for high-compliance-voltage electrical stimulation applications
- “EChO” Reconfigurable Power Management Unit for Energy Reduction in Sleep-Active Transitions
- Online strategies for dynamic power management in systems with multiple power-saving states
- Dynamic Power Management of Electronic Circuits and Systems
- Thermal modeling, analysis, and management in VLSI circuits: Principles and methods
- Evolution on SoC Integration: GSM Baseband-Radio in 0.13 CMOS Extended by Fully Integrated Power Management Unit
- A single-inductor dual-input dual-output (SIDIDO) power management with sequential pulse-skip modulation for battery/PV hybrid systems
- A family of 45nm IA processors
- Enabling the Internet of Things: From Integrated Circuits to Integrated Systems
- A 2.5-V, 160-μJ-output piezoelectric energy harvester and power management IC for Batteryless Wireless Switch (BWS) applications
- The impact of interface states on sub-threshold leakage and power management in CMOS devices and circuits
- 3 Fine-grained adaptive power management of the SPARC M7 processor
- Power management circuits for ultra-low power systems
- Runtime power management of 3-D multi-core architectures under peak power and temperature constraints
- High Temperature SOI CMOS Low Power circuits and micro systems for MEMS cointegrated interfaces, temperature sensing and power management …
- Event-driven power management
- … -IoT and GNSS all-in-One system-on-chip integrating RF transceiver, 23dBm CMOS power amplifier, power management unit and clock management system for low …
- Micropower energy scavenging
- Resonant switched-capacitor converters for sub-module distributed photovoltaic power management
- Dual-power-path RF-DC multi-output power management unit for RFID tags
- Design and analysis of energy-efficient single-pulse piezoelectric energy harvester and power management IC for battery-free wireless remote switch applications
- Energy harvesting system and circuits for ambient WiFi energy harvesting
- Efficient power management circuit for RF energy harvesting with 74.27% efficiency at 623nW available power
- A fully integrated power-management solution for a 65nm CMOS cellular handset chip
- Determination of single-event effect application requirements for enhancement mode gallium nitride HEMTs for use in power distribution circuits
- Self-regulated reconfigurable voltage/current-mode inductive power management
- Models and algorithms for bounds on leakage in CMOS circuits
- A 50nA quiescent current asynchronous digital-LDO with PLL-modulated fast-DVS power management in 40nm CMOS for 5.6 times MIPS performance
- A methodology for the behavioral-level event-driven power management of digital receivers
- Robustness and durability aspects in the design of power management circuits for IoT applications
- Energy harvesting system and circuits for ambient WiFi energy harvesting
- Efficient power management circuit for RF energy harvesting with 74.27% efficiency at 623nW available power
- A fully integrated power-management solution for a 65nm CMOS cellular handset chip
- Determination of single-event effect application requirements for enhancement mode gallium nitride HEMTs for use in power distribution circuits
- Self-regulated reconfigurable voltage/current-mode inductive power management
- Models and algorithms for bounds on leakage in CMOS circuits
- A 50nA quiescent current asynchronous digital-LDO with PLL-modulated fast-DVS power management in 40nm CMOS for 5.6 times MIPS performance
- A methodology for the behavioral-level event-driven power management of digital receivers
- Robustness and durability aspects in the design of power management circuits for IoT applications
- Thread motion: fine-grained power management for multi-core systems
- Optimal power management in fueled systems with finite storage capacity
- Scalable RF energy harvesting
- Power-aware testing and test strategies for low power devices
- Variation-aware application scheduling and power management for chip multiprocessors
- A low-voltage chopper-stabilized amplifier for fetal ECG monitoring with a 1.41 power efficiency factor
- Integrated power management circuit for piezoelectronic generator in wireless monitoring system of orthopaedic implants
- Event-driven data and power management in high-density neural recording microsystems
- Integration trends in monolithic power ICs: Application and technology challenges
- IoT and low-power wireless: circuits, architectures, and techniques
- Digital systems power management for high performance mixed signal platforms
- Q-learning-based adaptive power management for IoT system-on-chips with embedded power states
- A review on piezoelectric energy harvesting: materials, methods, and circuits
- Low-power digital VLSI design: circuits and systems
- A GSM Baseband Radio in 0.13 μm CMOS with Fully Integrated Power-Management
- Controller-based power management for control-flow intensive designs
- Adaptative techniques to reduce power in digital circuits
- Advanced circuits for emerging technologies
- Effective supervisors for predictive methods of dynamic power management
- Design and power management of energy harvesting embedded systems
- An efficient and stable power management circuit with high output energy for wireless powering capsule endoscopy
- Ultra-low-power analog and digital circuits and microsystems using disruptive ultra-low-leakage design techniques
- Shared Offset Cancellation and Chopping Techniques to Enhance the Voltage Accuracy of Multi-Amplifier Systems for Feedback Sensing in Power Management …
- An inductor-less micro solar power management system design for energy harvesting applications
- Solar and thermal energy harvesting with a wearable jacket
- An ultra-low quiescent current power management ASIC with MPPT for vibrational energy harvesting
- A feasibility study for power management in LAN switches
- Sub-microAmp Energy Harvesting and Power Management Units for Self-Powered IoT SoCs: Analog vs. Digital Implementations
- Silicon RFCMOS SOI technology with above-IC MEMS integration for front end wireless applications
- Power management for portable devices
- A closed-loop reconfigurable switched-capacitor DC-DC converter for sub-mW energy harvesting applications
- Supervised learning based power management for multicore processors
- A near-optimum dynamic voltage scaling (DVS) in 65-nm energy-efficient power management with frequency-based control (FBC) for SoC system
- A power sensor with 80ns response time for power management in microprocessors
- Smart IoT communication: Circuits and systems
- Test consideration for nanometer-scale CMOS circuits
- Neuromemristive circuits for edge computing: A review
- 2 Increasing the performance of a 28nm x86-64 microprocessor through system power management
- A nano quiescent current power management for autonomous wireless sensor network
- All-digital low-dropout regulator with adaptive control and reduced dynamic stability for digital load circuits
- Joint source coding and transmission power management for energy efficient wireless video communications
- Power management controller for automotive MCU applications in 90nm CMOS technology
- Realization of efficient RF energy harvesting circuits employing different matching technique
- A 130nm hybrid low dropout regulator based on switched mode control for digital load circuits
- An implantable 700μW 64-channel neuromodulation IC for simultaneous recording and stimulation with rapid artifact recovery
- Efficient Optimization Algorithm for Dwindling Payment and Power Management
- SiP integration of intelligent, adaptive, self-sustaining power management solutions for portable applications
- A 1024-channel simultaneous recording neural SoC with stimulation and real-time spike detection
- Power management of a stand-alone wind/photovoltaic/fuel cell energy system
- A 450-mV Single-Fuel-Cell Power Management Unit With Switch-Mode Quasi- Hysteretic Control and Automatic Startup on 0.35-m Standard CMOS Process
- A wireless power management and data telemetry circuit module for high compliance voltage electrical stimulation applications
- An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget
- Energy Harvesting and Power Management Integrated Circuits for Self-Sustaining Wearables
- Energy scavengers: Modeling and behavior with different load circuits
- A 450ps access-time SRAM macro in 45nm SOI featuring a two-stage sensing-scheme and dynamic power management
- Power management of online data-intensive services
- Design of highly integrated power management unit with dual DVS-enabled regulators
- Design and implementation of home energy and power management and control system
- Voltage-Stacked Power Delivery Systems: Reliability, Efficiency, and Power Management
- A resonant switched-capacitor IC and embedded system for sub-module photovoltaic power management
- Bootstrap circuit with high-voltage charge storing for area efficient gate drivers in power management systems
- A 65nm CMOS low-power small-size multistandard, multiband mobile broadcasting receiver SoC
- The next frontier for communications networks: power management
- Elastic-Vt CMOS circuits for multiple on-chip power control
- Low-power circuits and technology for wireless digital systems
- Dynamic power management in an AMBA-based battery-powered system
- An analysis of system level power management algorithms and their effects on latency
- Low power systems for wireless microsensors
- Power electronic components, circuits and systems for deep space missions
- DRPM: dynamic speed control for power management in server class disks
- A multi-channel neural stimulator with resonance compensated inductive receiver and closed-loop smart power management
- Power-management architecture of the intel microarchitecture code-named sandy bridge
- An 82μA/MHz microcontroller with embedded FeRAM for energy-harvesting applications
- Integrated power management and microcontroller for ultra-wide power adaptation down to nW
- Is the road towards “Zero-Energy” paved with NEMFET-based power management?
- 3 Thread-Level Power Management for a Current-and Temperature-Limiting System in a 7nm Hexagon™ Processor
- Extremely high thermal conductivity of graphene: Prospects for thermal management applications in nanoelectronic circuits
- Power management systems on silicon for portable equipment
- A power management system for microbial fuel cells with 53.02% peak end-to-end efficiency
- A power management unit with continuous co-locking of clock frequency and supply voltage for dynamic voltage and frequency scaling
- … -inductor boost-converter/current-mode inductive power management ASIC with 750% extended output-power range, adaptive switching control, and voltage-power …
- IBM z14: Processor characterization and power management for high-reliability mainframe systems
- High efficiency power management system for solar energy harvesting applications
- Power aware design methodologies
- A Batteryless 19 W MICS/ISM-Band Energy Harvesting Body Sensor Node SoC for ExG Applications
- An energy-aware multiple-input power supply with charge recovery for energy harvesting applications
- Sub-microsecond adaptive voltage scaling in a 28nm FD-SOI processor SoC
- A 0.9 V 0.35 μm adaptively biased CMOS LDO regulator with fast transient response
- SiP power management unit with embedded temperature sensor powered by piezoelectric vibration energy harvesting
- A battery-less power management circuit for RF energy harvesting with input voltage regulation and synchronous rectification
- Electronic components and circuits for extreme temperature environments
- Review and future prospects of low-voltage RAM circuits
- High Performance Power Management Integrated Circuits for Portable Devices
- A programmable voltage reference optimized for power management applications
- Contribution to automated generating of system power-management specification
- The case for power management in web servers
- Current-controlled policies for battery-driven dynamic power management
- A fully integrated 700mA event-driven digital low-dropout regulator with residue-tracking loop for fine-grained power management unit
- A circuit for reducing large transient current effects on processor power grids
- Wide-range dynamic power management in low-voltage low-power subthreshold SCL
- Extreme low-power mixed signal IC design: subthreshold source-coupled circuits
- A 20nm 112Mb SRAM in High-к metal-gate with assist circuitry for low-leakage and low-VMIN applications
- A power management system using reconfigurable storage scheme for batteryless wireless sensor nodes
- A WCDMA HBT power amplifier module with integrated Si DC power management IC for current reduction under backoff operation
- A 1-V high-speed MTCMOS circuit scheme for power-down application circuits
- A custom processor for node and power management of a battery-less body sensor node in 130nm CMOS
- 7 A 30.5mm3 fully packaged implantable device with duplex ultrasonic data and power links achieving 95kb/s with <10−4 BER at 8.5cm depth
- Integrated circuits and electrode interfaces for noninvasive physiological monitoring
- Peak Power Minimization through Power Management Scheduling
- Hardware-software co-design of an embedded power management module with adaptive on-chip power processing schemes
- Power management system for a 2.5 W remote sensor powered by a sediment microbial fuel cell
- Intelligent power management strategy of hybrid distributed generation system
- Algorithmic problems in power management
- Testability Analysis of Circuits using Data-Dependent Power Management
- Optimal Power Management for Residential PEV Chargers with Frequency Support Capability
- Adaptive power management for environmentally powered systems
- Galvanically Isolated, Power and Electromagnetic Side-Channel Attack Resilient Secure AES Core with Integrated Charge Pump based Power Management
- A low power maximum power point tracker and power management system in 0.5 µm CMOS
- A fully integrated successive-approximation switched-capacitor DC-DC converter with 31mV output voltage resolution
- Approaches to design of circuits for low-power computation
- 4H-SiC MESFET specially designed and fabricated for high temperature integrated circuits